CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga spi

搜索资源列表

  1. SPI_verilogHDL

    2下载:
  2. 本原码是基于Verilog HDL语言编写的,实现了SPI接口设计,可以应用于FPGA,实现SPI协议的接口设计.在MAXII编译成功,用Modelsim SE 6仿真成功.-primitive code is based on Verilog HDL language, and achieving the SPI interface design, FPGA can be used to achieve agreement SPI interface design. MAXII success
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:1731
    • 提供者:jevidyang
  1. SPI_VHDL

    0下载:
  2. SPI串口的内核实现(vhdl),可以用qII等软件直接加到FPGA或者CPLD里面.-the SPI Serial Kernel (vhdl) can be used directly qII software foisted CPLD or FPGA inside.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13587
    • 提供者:efly
  1. M_FPGALoading

    0下载:
  2. 利用ARM的GPIO和SPI总线进行FPGA的被动串行配置,加载速度可以达到200KBytes/Sec.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2008-10-13
    • 文件大小:301506
    • 提供者:周丹
  1. omap_fpga

    0下载:
  2. omap5912 spi interface driver. In addition, supply a fpga download example via spi
  3. 所属分类:操作系统开发

    • 发布日期:2014-01-16
    • 文件大小:10761
    • 提供者:吴瑞玉
  1. vspi_VHDL

    0下载:
  2. FPGA/CPLD VHDL语言实现SPI,拥有两种模式,FPGA/CPLD即可工作在主机模式,又可工作在从机模式
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2014-01-17
    • 文件大小:249264
    • 提供者:张焱
  1. FPGA_SPI

    0下载:
  2. FPGA实现SPI的内核驱动 FPGA实现SPI的内核驱动
  3. 所属分类:驱动编程

    • 发布日期:2008-10-13
    • 文件大小:308691
    • 提供者:lltxt
  1. ourdev_192095

    0下载:
  2. FPGA模拟SPI接口驱动3310液晶屏的 详细驱动
  3. 所属分类:Linux/Unix编程

    • 发布日期:2014-01-20
    • 文件大小:554626
    • 提供者:zhouwenbin
  1. spi_master

    0下载:
  2. 基于CPLD/FPGA的SPI控制的IP核的实现spi_master
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:909
    • 提供者:linsky
  1. 080513154000

    0下载:
  2. 并行转串行的VHDL描述:基于FPGA的SPI发送模块的设计
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:95516
    • 提供者:yaoqinghua
  1. bingo_spi_test

    0下载:
  2. 利用SPI实现FPGA和外设之间的通信。经过Modelsim仿真验证。(为FPGA设计技巧与案例开发详解一书源码)(Using SPI to implement communication between FPGA and peripheral. After Modelsim simulation verification. (for FPGA design techniques and case development detailed explanation of a book source
  3. 所属分类:书籍源码

    • 发布日期:2017-12-28
    • 文件大小:53248
    • 提供者:August_cwj
  1. hmc960

    0下载:
  2. hmc960芯片的初始化程序,可以实现verilog程序,微波信号的放大(hmc960 initial code,spi ,verilog,amplify)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-31
    • 文件大小:1024
    • 提供者:preman
  1. AT25160B

    0下载:
  2. 该代码完成存储器的数据存储和读取功能,该芯片是一款Atmel的SPI接口的EEPROM存储芯片。(The code completes the memory data storage and reading function, the chip is a Atmel SPI interface EEPROM memory chip.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-01
    • 文件大小:6144
    • 提供者:leixiaoming
  1. spi_no_cs_13

    0下载:
  2. FPGA作为从机与STM32的全双工通信,FPGA将接收到STM32的数据返回到STM32,Modelsim仿真和板子仿真都通过(Use FPGA as slave,realize the communication between FPGA and STM32. The function has been tested is no problem.)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-06
    • 文件大小:14403584
    • 提供者:Pgaf
  1. SPI_controller

    0下载:
  2. SPI controller (fpga/verilog)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-08
    • 文件大小:119808
    • 提供者:taso999
  1. spi_master

    0下载:
  2. SPI通信:串行flash的读写擦除命令通过SPI接口进行通信。? CPU芯片与FPGA通过SPI接口进行通信。? 其他功能集成电路芯片参数寄存器配置。例如DAC芯片内部有很多寄存器(因为芯片有很多功能,要通过设置寄存器不同的开关来打开或关闭相应的功能,一上电去初始化寄存器)需要我们去配置。FPGA一上电也是通过配置芯片里边来读取数据,然后配置FPGA内部的SRAM。FPGA是读取FLASH里边的串行数据,读取完校验完才配置到我们的FPGA的SRAM中去。速度比串口快,而且是同步传输。(Th
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-01-11
    • 文件大小:3389440
    • 提供者:小云子
  1. _spi_test1

    0下载:
  2. data transmitted from FPGA to devices using SPI bus
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-23
    • 文件大小:8066048
    • 提供者:anh92
  1. spi_master

    0下载:
  2. 用verilog编写的SPI代码,这个代码是FPGA作为主机可以发送和读取数据,上板验证过,我测试的时候SPI的CLK速率是5M,读写都没问题,稳,至于更高的速率没测试过。 下面鬼畜的百度翻译大家就不要看了,我不知道他想表达啥意思~(SPI code written in Verilog, the code is FPGA as the host can send and read data, the upper board verified, when I test the SPI CL
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-05-03
    • 文件大小:1024
    • 提供者:你到底是谁
  1. xapp1247-multiboot-spi

    1下载:
  2. fpga的multiboot ref desgin(fpga multiboot ref desgin)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2020-11-10
    • 文件大小:3428352
    • 提供者:wangzw
  1. flashZ

    2下载:
  2. FPGA控制m25p16flash芯片读写控制spi协议 可实现擦除写入读出功能(SPI protocol for read and write control of m25p16 flash chip controlled by FPGA Erase Write-Read Function)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2021-03-04
    • 文件大小:724992
    • 提供者:SHNSHiNe
  1. adc_interface-master

    0下载:
  2. ADC_Interface Simple SPI interface for AD7908/AD7918/AD7928 written in verilog HDL
  3. 所属分类:其他

    • 发布日期:2020-10-11
    • 文件大小:27648
    • 提供者:醉小楼
« 1 2 3 4 5 6 7 89 10 11 12 13 14 15 »
搜珍网 www.dssz.com